ASML到底卖了多少EUV光刻机
2021-07-24 14:54

ASML到底卖了多少EUV光刻机

本文来自微信公众号:半导体行业观察(ID:icbank),作者:蒋思莹,题图来自:视觉中国


EUV光刻机伴随着7nm先进制程而来,又随着5nm及以下工艺的发展而有望得到进一步的壮大。利用EUV光刻机,台积电和三星将他们的晶圆卖往了全球各地,同时在市场对先进工艺的需求下,他们也拿出数百亿美元砸向了EUV光刻机的采购。


不仅如此,据工商时报日前的报道称,台积电还将启动EUV持续改善计划(Continuous Improvement Plan,CIP),希望在略为增加芯片尺寸的同时,减少先进制程EUV光罩使用道数,以解决3nm“曲高和寡”问题。


就在台积电和三星正在利用EUV光刻机在先进制程的道路上飞速发展的同时,英特尔新任CEO Pat Gelsinger也在今年参加摩根大通的会议时表示,英特尔将全面拥抱EUV光刻工艺,并会对EUV工艺进行多代重大改进。


在引入EUV光刻机这条路上,先进工艺在前面跑,DRAM在后面追。包括三星、SK海力士以及美光等存储龙头也相继有了将EUV引入DRAM的计划。在今年7月,韩国存储厂商SK海力士宣布,公司第一代使用EUV光刻机生产的DRAM正式量产。


在这些市场的推动下,EUV光刻机站在了半导体市场的聚光灯下。ASML作为目前唯一可以提供EUV光刻机的供应商,他们到底卖了多少EUV光刻机?


一、ASML EUV光刻机都有哪些?


EUV光刻机的出现,也是延续摩尔定律的重要一部分。于是,凭借着沉浸式光刻技术而崛起的ASML也开始将其创新的目光投向了EUV光刻技术。到2006年,ASML推出了两个Alpha EUV演示工具,一个被送到了imec,另一个被送到了到奥尔巴尼(纽约州与IBM和ASML合作的奥尔巴尼大学研究中心)


2010年,ASML出货了他们的第一台光刻设备NXE:3100。NXE:3100的数值孔径为0.25,在同样的制程条件下,NXE:3100的晶圆加工产出量相比早先推出的EUV Alpha Demo Tool光刻机提升了20倍左右,而产出量的极大提升则是源自于新机型光源功率的提升,透射率的提升以及双工作台(dual stage:即可同时加工两片晶圆)的新设计。据相关媒体报道,ASML总共出货六台NXE:3100,分别运往了三星、imec、英特尔、东芝、海力士和台积电。继NXE:3100之后,ASML于2013年推出了量产型NEX:3300B。


2015年又推出了NXE 3350B。根据ASML所发布的2015年的年报中显示,公司两套第四代EUV光刻系统(NXE:3350B)已经出货,第三套也开始发货。同时,通过CCIP(CCIP是ASML于2012年提出一项“客户联合投资计划”,三大主要的Foundry厂商——英特尔,三星和台积电也是在这一年成为了ASML的股东)获得了部分EUV研发项目的资金。ASML在荷兰的Veldhoven也开设了新的EUV工厂,实现了批量发货。可以说,2015年,对于ASML EUV光刻机是成功的一年。


于2012年启动的CCIP计划,在5年以后结束了。也正是在这一年,ASML的EUV光刻机有了里程碑式的进展——ASML在其2017年中的年报中这样写到:“2017年,我们在EUV系统方面再次取得重大进展,通过运输第一个NXE:3400B系统,更接近大批量生产。”


据其官网介绍,TWINSCAN NXE:3400B支持7纳米和5纳米节点的EUV量产。NXE:3400B每小时处理晶圆数不低于125片(125 wph),在实验室中可达140片。ASML也在其财报中称,随着ASML的EUV系统在2017年达到大批量生产的程度,他们也开始探索如何实现这些系统的节能。


(图片来源:ASML官网)


2018年,为了持续推进EUV技术向大批量生产阶段发展。ASML展示了每小时145片晶圆的生产能力,高于2017年的每小时125片晶圆,并且正在努力实现92%的生产时间(可用性)一致的性能。


接下来,在2019年第三季度中,ASML新一代EUV光刻机NXE:3400C开始出货。据ASML介绍,这个新设备是以“NXE:3400B”为基础,首次开发降低重合误差的版本,而在后续的发展则是是以“降低重合误差版本”为基础,开发提高生产性能的版本(NXE:3400C每小时生产170片晶圆,可用率超过90%)。wikichip也称其是第一个完全面向HVM的系统。


(图片来源:ASML官网)


ASML并没有止步,在其今年最新公布的财报中显示,ASML的最新一代EUV光刻机NXE:3600D系统已发货给客户。据了解,与NXE:3400C相比,该系统提供15%至20%的生产力改进能力和约30%的覆盖改进。ASML现在正在为内存批量生产EUV,并计划在三个DRAM客户的未来节点上实施EUV。



可以看出,ASML每推出一代EUV光刻机,新设备的生产能力也在稳步提升。而ASML之所以能够在EUV光刻机上取得成功,不仅有他们自身的实力做基础,也包括他们针对这一技术所进行的一系列收购,其中包括在2013年完成了对美国光源制造商Cymer的收购、2016年对拥有最先进的电子束检测技术厂商HMI的收购、以及2017年完成了对德国Carl Zeiss SMT GmbH 24.9%间接权益的收购。


二、ASML卖了多少台光刻机


ASML经过数十年的努力,将EUV光刻机成功应用到了实际生产当中,并实现了量产。那么,这些年中,ASML到底卖了多少台光刻机?ASML所发布的历年财报中,透露了他们EUV系统的销售量。


(来源:ASML 2017年年报)


从ASML在2017年发布的年报中可以看出,从2017年开始,其EUV系统的销售数量就出现了飞跃,其在当年所推出的NXE:3400B则是最大的动力——其年报显示,2017年,ASML向客户出售10台NXE:3400B EUV系统。


2018年,ASML出售了18个EUV系统,其中17个是NXE:3400B EUV系统。


(来源:ASML 2018年财报)


ASML在其2019年的年报中用大写加粗的字体写下了这样一则消息——The NXE:3400C is expected to deliver cost-effective shrink for both Logic and DRAM(NXE:3400C有望为逻辑和DRAM的收缩带来成本效益)


从出货量上看,ASML在2019年中的年报中显示,公司预计2019年EUV的出货量会逐年增加,从18个增加到30个,但是由于供应链暂时延迟,最初计划在2019年发货的四个EUV系统推迟到2020年初发货。尽管存在这些挑战,他们还是成功发货了26个EUV系统,包括公司第一款用于大批量制造的NXE:3400C。2019年,ASML总共出货了9台NXE:3400C。


(来源:ASML 2019年年报)


在接下来的2020年中,ASML共销售了31台EUV系统。而根据相关媒体在今年1月的报道显示,而截止至2020年底,ASML一共出货了100台EUV光刻机



进入到2021年中,截止到今年7月4日的数据显示,ASML已经售出了16台EUV系统。


(来源:ASML 2021年中报)


三、EUV光刻机营收占比越来越大


从ASML自2017年推出NXE:3400B后,EUV光刻机开始正式被各大厂商应用到实际生产。也是从这一年开始,EUV光刻机也成为了ASML营收和毛利的支柱之一。


根据其2017年财报显示,ASML 2017年营收年增33.2%至90.53亿欧元、毛利率自44.8%升至45.0%。ASML在其年报中表示,毛利率的提高主要是由于系统产品结构转向更高端的系统,部分抵消了EUV系统销售的增长。由于加快了对EUV服务基础设施的投资,与2016年相比,EUV净销售额增加了两倍多,ASML仍能够将毛利率提高到45.0%。


2019年,ASML推出了新一代的EUV系统,反应在其营收上的表现是,全年营收为118.2亿欧元,同比增长了8%,毛利率从46%下滑到了44.7%,全年净利润25.92亿欧元,维持不变。总计出货了26台EUV光刻机,比2018年的18台有了明显增长,使得EUV光刻机的营收占比也从23%提升到了31%。


2020年,EUV系统仍旧为ASML带来了巨大的经济效益——总净销售额从2019年的118.20亿欧元(2018年:109.44亿欧元)增加到2020年的21.585亿欧元,即18.3%,达到139.785亿欧元(2018年:109.44亿欧元)。ASML在其年报中表示,这是由于EUV销量增加、EUV平均销售价格提高所致。逻辑部门在2020年继续保持强劲势头,也是最先进的EUV系统的最大消费者。内存需求在2019年下滑后于2020年回升。韩国的地域销售增长最大,以支持扩大晶圆代工和DRAM生产线的产能。



四、未来的发展


在发展EUV系统的同时,ASML还在对未来进行了布局,他们认为EUV系统未来将走向High-NA


关于High-NA的身影,也出现在了ASML 2017年的年报中。公司指出,他们已经开始开发未来10年的下一代EUV光刻系统,使用一种叫做High-NA的技术。这项技术将使半导体行业能够以更低的成本生产出性能更高的微芯片。下一代EUV光学器件将提供更高的数值孔径,使进一步减少光刻过程中的关键尺寸成为可能。目前的EUV系统的光学系统的数值孔径为0.33,而新的光学系统的数值孔径将大于0.5,从而可以实现几代的几何芯片缩放。


在ASML 2019年的年报中,High-NA这一技术同样作为R&D中的一项重要支出,出现在其年报当中。按照其年报的消息显示,公司正在扩展路线图,包括High-NA。


2020年对于ASML来说是技术突破、创新和合作的一年。在这一年中,比利时的imec取得了突破,展示了ASML的NXE:3400B EUV系统如何在单次曝光中打印窄间距线(24 nm)。这将有助于imec和合作伙伴开发光刻胶材料,以支持ASML下一代EUV系统High-NA的引入。ASML在其财报中表示,High-NA这项技术将使几何芯片缩放超过当前十年,提供比当前的EUV平台高70%的分辨率和覆盖能力。


在2021年上半年的财报中,ASML也指出,“公司将继续投资EUV的大批量制造,完成NXE:3600D的开发,并进一步提高安装的基础系统的可用性和生产力。此外,我们的路线图包括High-NA,我们的下一代0.55NA系统。”


按照ASML在2020年报中公布的计划来看,2024年半导体行业终端市场将会带给High-NA机遇,到2025年,ASML将开始出货High-NA系统和大批量生产使用High-NA的系统


五、写在最后


通过ASML财报中所透露出来的大量数据来看,到目前为止,ASML已经出售了100多台EUV系统。虽然ASML并没有在其财报当中说明这些EUV系统花落谁家,但从其财报中所透露出来的2020年在韩国等地开设EUV 培训中心的动作上,也不难猜出这些EUV光刻机的最终归宿。


另一方面,从市场的消息来看,台积电是EUV光刻机的大买家,其所购置的EUV光刻机数量已经超过其他竞争对手。同时,从台积电、三星等方面传出的消息来看,他们也都准备了大量的资金用以购置EUV光刻设备。这也不得不说,EUV系统不仅是ASML的“印钞机”,在市场需求的推动下,也同样是Foundry和DRAM厂商的“印钞机”。


本文来自微信公众号:半导体行业观察(ID:icbank),作者:蒋思莹

本内容为作者独立观点,不代表虎嗅立场。未经允许不得转载,授权事宜请联系hezuo@huxiu.com
如对本稿件有异议或投诉,请联系tougao@huxiu.com
正在改变与想要改变世界的人,都在 虎嗅APP
赞赏
关闭赞赏 开启赞赏

支持一下   修改

确定