下一代EUV光刻机,ASML这样说
2023-02-17 13:07

下一代EUV光刻机,ASML这样说

本文来自微信公众号:半导体行业观察 (ID:icbank),作者:穆梓,题图来自:视觉中国


近日,ASML发布了2022年度的财报。在这份报告中,ASML除了详细介绍了公司的年度收入以外,关于技术未来发展的一些信息也是笔者在粗略阅读这篇报告时关注的另一个重点,这也是他们过去几十年里一直坚持在做的工作。


如在2022年,ASML就实现了不少重要进展:例如在DUV方面,他们交付了NXT KrF 系统的首台设备TWINSCAN NXT:870和第一台TWINSCAN NXT:2100i。和大多数读者一样,笔者较为关心ASML在下一代EUV光刻机——High NA EUV光刻机方面的进展。


按照ASML所说,在历经六年的研发后,他们在2022年收到了供应商提供的第一个高数值孔径机械投影光学器件和照明器(illuminator)以及新的晶圆载物台(wafer stage)。这些模块将用于EXE:5000的初始测试和集成,是一个重要的步骤。


ASML同时指出,2022年,公司收到了所有现有 EUV 客户的采购订单,要求交付业界首个TWINSCAN EXE:5200系统——具有High-NA和每小时220片晶圆生产率的 EUV 大批量生产系统。


关于未来的EUV光刻机发展路径,ASML首席技术官Martin van den Brink在财报中透露,他认为Hyper-NA EUV有望在这个十年结束后成为现实。


一条公式指导的行业


在ASML全球各地的办公室,都粘贴着一个光学领域的公式——瑞利准则。


其中,CD (critical dimension)是临界尺寸,用以衡量光刻系统可以印刷的最小结构的尺寸;λ是光源的波长;NA为数值孔径,表示光线的入射角;k1 一个是与光学和工艺优化相关的常数。



如公式所示,为了让CD更小,在k1不变的情况下,可以缩小λ,或者提高NA。这也正是过去多年光刻机光源从波长为365nm的i-line、KrF、ArF、ArF Immersion向波长为13.5nm的EUV演进的原因。



至于NA方面,按照ASML所说,使用较大NA的透镜/反射镜,可以打印较小的结构。而除了更大的镜头外,ASML还通过在最后一个镜头元件和晶圆之间保持一层薄薄的水膜,利用水的breaking index 来增加NA(所谓的浸没系统),从而增加了我们ArF系统的 NA。而在波长向EUV迈进之后,ASML也正在开发下一代EUV系统——EUV 0.55 NA(高NA)将数值孔径从0.33提高到0.55。


ASML解析道,光刻系统本质上是一个投影系统。例如在其DUV系统中,光线通过将要打印的图案蓝图(称为“mask”或“reticle”)投射;而在EUV系统中,光通过reticle反射。通过在光中编码图案(pattern encoded),系统的光学器件会收缩(shrink)并将图案聚焦到光敏硅片上。图案打印完成后,系统会稍微移动晶圆,并在晶圆上制作另一份副本。


在芯片制造过程中,光刻机不断重复这个过程,直到晶圆被图案覆盖,完成晶圆芯片的一层。要制作完整的微芯片,需要逐层重复此过程,堆叠图案以创建集成电路(IC)。按照ASML解析说,最简单的芯片有大约 40 层,而而最复杂的芯片可以有 150 多层。


“要打印的特征的大小因层而异,这意味着不同类型的光刻系统用于不同的层——我们最新一代的 EUV 系统用于具有最小特征的最关键层,而我们的ArFi、ArF、KrF和i-line系统可用于具有较大特征的不太关键的层。”ASML在财报中说。


如上文所说,为了在关键层做更小的CD,ASML正在推进数值孔径为0.55的High-NA光刻机,Martin van den Brink表示,客户将在2024到2025间在其上面进行研发,并有望在2025到2026年间进行大规模量产。


Hyper NA成为可能


在去年九月接受荷兰媒体bits-chips采访的时候Martin van den Brink曾直言:“光刻技术的过渡期很糟糕。因为如果你搞砸了,事情就会变得一团糟,尤其是现在这个组织已经这么大了。”他同时也指出,和从DUV向EUV演进不一样,对于High-NA光刻机,风险会小很多,这主要是因为设备上的基础设施改变不大。


“开发High-NA技术的最大挑战是为 EUV 光学器件构建计量工具。High-NA反射镜的尺寸是前一代产品的两倍,并且需要在20皮米内保持平坦。要实现这些目的,需要在一个大到‘你可以在其中容纳半个公司’的真空容器中进行验证。”Martin van den Brink说。


Martin van den Brink表示,在2017年刚开始启动High NA EUV项目的时候,他认为这将是EUV光刻机的最后一个NA,因为当时的他认为,High NA来得太晚了,没有足够的微缩能够来收回投资。他同时还透露,最开始其合作伙伴蔡司也不是很想参与这个项目。


虽然困难重重,但High NA EUV光刻机就快成为现实了。正如报道中所说,半导体业界还想知道的一个事情是,High-NA是否还有继任者。


报道指出,ASML 的技术副总裁 Jos Benschop 已经在2021年的 SPIE 高级光刻会议上透露,可能的替代方案,即波长的新台阶,不是一个选择。这与角度有关——EUV反射镜反射光的效率在很大程度上取决于入射角。波长的降低会改变角度范围,这样透镜就必须变得太大而无法补偿。


虽然ASML 正在研究它,但Van den Brink表示,就个人而言,他不认为hyper-NA会被证明是可行的。“我们正在研究它,但这并不意味着它会投入生产。多年来,我一直怀疑high-NA将是最后一个 NA,而且这个信念没有改变。”Van den Brink说。


据他所说,从技术上看,hyper-NA(高于0.7,可能是0.75)理论上是可以做到的。但他也同时提出:市场上还有多少空间可以容纳更大的镜头?我们可以出售这些系统吗?他在当时还强调,如果Hyper-NA的成本增长速度与我们在high-NA中看到的一样快,那么它在经济上几乎是不可行的。


但是,在日前的财报中,Van den Brink说,我可以谈论NA高于0.7的EUV(称为Hyper NA)可能在本十年结束后不久成为现实(I could talk about EUV with an NA higher than 0.7 (known as Hyper NA) potentially becoming a reality shortly after the end of this decade)


然而,接下来最合适的指南实际上是:这一切都取决于成本。我们需要越来越多地关注降低成本——这意味着不是减少资源,而是确保我们推向市场的解决方案更简单、更可持续、更有效、更易于维护、更易于制造且更具可扩展性。


Van den Brink强调,如果我在不了解对这些产品施加的成本和复杂性限制,就贸贸然转向下一个产品是不负责任的。这也正是ASML对将于 2023 年上市的新型光学计量系统所做的。公司在紧张的成本参数范围内重新审视了这个项目,并且已经能够实现比以前更具成本效益许多倍的新技术。同样,ASML正在继续努力控制当前0.33 NA EUV 系统以及High-NA和Hyper-NA系统的成本,以确保微缩的需求仍然强劲。


“十年前,当我们开发High-NA时,我们无法想象NA超过0.55甚至存在。所以Hyper-NA是非常非常难以实现的。很棒的是我们的业务和研发能力可以同时处理所有这些事情。我们可以开发像Hyper-NA这样的技术,同时关注成本控制、简单性、可持续性、可制造性和可维护性。”Van den Brink在财报中说。


换而言之,Hyper-NA EUV光刻机可能真的要成为现实了。


本文来自微信公众号:半导体行业观察 (ID:icbank),作者:穆梓

本内容为作者独立观点,不代表虎嗅立场。未经允许不得转载,授权事宜请联系hezuo@huxiu.com
如对本稿件有异议或投诉,请联系tougao@huxiu.com
正在改变与想要改变世界的人,都在 虎嗅APP
赞赏
关闭赞赏 开启赞赏

支持一下   修改

确定